Emanuel Airasca

Páginas: 2 (484 palabras) Publicado: 27 de noviembre de 2012
entity Contador is
port(selector_clock: in std_logic; -- usando una de las llaves elegimos el clock q vamos a usar

clock_osc: in std_logic; --usando el que trae la placa de espanion
clk_2:in std_logic;
clock_pulsador: in std_logic; --cloc manejado por pulador
reset: in std_logic;
clock_tr: out std_logic_vector (3 downto 0); --activa los anodos de cada transistor
salida1:out std_logic_vector (6 downto 0)); -- salida para los segmentos
end Contador;

architecture Behavioral of Contador is

signal clk:std_logic;
signal cont_unid, cont_dec, cont_cent,cont_miles:std_logic_vector (3 downto 0):= "0000";//ver si falta algo en esta linea
signal cont_tr: std_logic_vector (1 downto 0):= "00";
signal aux: std_logic_vector (3 downto 0);

signal ar:std_logic_vector (15 downto 0):= "0000000000000000";
signal clock_ar : std_logic;

begin
process (clock_pulsador)
begin
if (clock_pulsador 'event and clock_pulsador ='1') then
if(ar < 1024) thenclock_ar <= '0';
ar<= ar+1;
else
ar<= "0000000000000000";
clock_ar <= '1';
end if;
end if;
end process;

-- selector de señales

process (clock_osc ,clock_ar, selector_clock)
begin
if (selector _clock ='1') then
clk<= clock_osc;
elsif (clock_ar 'event and clock_ar ='1') then
clk<= clock_ar;
else
clk<= clock_ar;
endif;
end process;

--contador

process(clk, reset)
begin
if(reset ='0') then
cont_unid <= "0000";
cont_dec<= "0000";
cont_cent<= "0000;
cont_miles<= "0000;
else
if (clk'event and clk = '1') then --esera hasta el flanco negativo del clock
if (cont_unid < "1001") then
cont_unid<=cont_unid +1;
else
if (cont_dec < "1001") thencont_dec<=cont_dec +1;
cont_unid<= "0000";
else
if (cont_cent < "1001") then
cont_cent<=cont_cent +1;
cont_unid<= "0000";
cont_dec<= "0000";
else
if...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Emanuel
  • emanuel
  • emanuel
  • emanuel
  • Emanuel
  • Emanuel
  • Emanuel
  • Emanuel

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS