mux de 8 a 1

Páginas: 2 (298 palabras) Publicado: 24 de mayo de 2014
Instituto tecnológico de Tuxtla Gutiérrez
ITTG.






Ing. Electrónica






diseño digital con vhdl






héctor hernández de
león







tarea # 5.ruben dario caballero serrano
alondra isabel gómez rodas
nohely isabel de la cruz vásquez
joel alejandro garcia santiago



Índice
Pág. 3……………………………………………………mux 8 a 1.




pág.7……………………………….…….sumador completo.




pág. 11…………………………………..…………….flip flop jk.




Mux 8 a 1.


Introducción
El Mux es un multiplexor digital de alta velocidad, Ofrece la posibilidad de selector con el Selectorhasta un máximo de 8 entradas. Siempre y cuando este el nivel lógico de la habilitación a ‘0’, la salida tendrá el mismo
nivel lógico que la entrada que corresponda al valor del selector. Situandocoherentemente las entradas a ‘0’ o a ‘1’ las entradas se puede realizar funciones lógicas de una sola salida y tres entradas.






Objetivo
Que el alumno conozca un multiplexor así como sucorrecto funcionamiento.
Además de la forma para conectarlo, para obtener la función de la tabla de verdad deseada.
Y que se aprenda a utilizar el código en VHDL.










Diagrama de bloquesLas entradas del multiplexor se pueden leer directamente desde la tabla de verdad.

Este diagrama corresponde al C.I. 74LS151, el cual es un multiplexor/selector de datos de
8 líneas a 1.
Lasentradas son de D0 a D7, A, B y C son las líneas de selección, Y es la salida normal,
f es la salida complementada.



Código en VHDL

library ieee;
use ieee.std_logic_1164.all;
useieee.std_logic_signed.all;
entity A_81MUX is
port (A, B, C, GN : in std_logic;
       D0, D1, D2, D3, D4, D5, D6, D7 : in std_logic;
       Y, WN : out std_logic);
end A_81MUX;
architectureBEHAVIOR of A_81MUX is
begin
     process(A, B, C, GN, D0, D1, D2, D3, D4, D5, D6, D7)
     variable sel : integer range 0 to 7;
         begin
              sel := 0;...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • 8 1
  • Lab 1 1 8
  • Tarea 8 fisica 1
  • Practica 8 topografia 1
  • Civica Yokonda 8 1
  • 8 Taller Trigonometria 1
  • CAPITULO 8 CASO 1
  • Laboratorio Capitulo 8 1

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS