Reloj Digital

Páginas: 15 (3555 palabras) Publicado: 4 de octubre de 2014
Universidad Mayor de San Andrés
Facultad de Ingeniería
Carrera Ingeniería Electrónica

Proyecto de Final de Curso
ETN 601 - Sistemas Digitales I

“DISEÑO E IMPLEMENTACIÓN DE UN RELOJ DIGITAL”

Por:
Américo Álvarez Surci

Docente:
Roberto Oropeza Crespo

La Paz – Bolivia
Diciembre 2010

i

INDICE GENERAL
INDICE DE FIGURAS

IV

INDICE DE TABLAS

V

RESUMEN

VICAPITULO 1: ANTECEDENTES Y PROPÓSITOS

1

1.1. Introducción

1

1.2. Objetivos

2

1.2.1. Objetivo principal

2

1.2.2. Objetivos secundarios

2

1.3. Metodología

2

CAPITULO 2: DESARROLLO TEÓRICO

3

2.1. Elementos del reloj digital

5

2.1.1. Codificadores, decodificadores

5

2.1.2. Contadores

8

2.1.3. Generador de pulsos (multivibrador-astable)

112.3. Descripción de Hardware

13

2.3.1. VHDL

13

i) Elementos Básicos VHDL

14

ii) Estructura Básica de un archivo fuente en VHDL

16

CAPITULO 3: MECANISMO DE DISEÑO

21

3.1. SS: Segundos

21

3.2. MM: Minutos

22

3.3. HH: Horas

23

3.4. Ajuste de hora

24

3.5. Descripción VHDL

25
ii

CAPITULO 4: SIMULACIONES Y PRUEBAS EN LABORATORIO

30

4.1.Simulación plano circuital usando Proteus

30

4.2. Simulación VHDL usando Quatus2

32

CAPITULO 5: CONCLUSIONES Y MEJORAS

35

5.1. Conclusiones

35

5. 2. Mejoras

35

6. BIBLIOGRAFÍA

36

7. ANEXOS

36

7.1. Tarjeta Cyclone II- DE2 de Altera

36

iii

INDICE DE FIGURAS
Figura 1: Reloj Digital
Figura 2: Reloj Atómico NIST-F1, EE.UU.
Figura 3: DecodificadorBásico
Figura 4: Diagrama de Pines CI 7447
Figura 5: Contador Síncrono Modulo-3
Figura 6: Diagrama de Tiempos Contador Flanco descendente
Figura 7: Contador Asíncrono
Figura 8: Multivibrador Astable con transistores
Figura 9: Multivibrador astable con CI 555
Figura 10: Ejemplo de “Entity”
Figura 11: Diagrama de bloques del Segundero
Figura 12: Diagrama de bloques del decodificador
Figura13: Diagrama de bloques del Minutero
Figura 14: Diagrama de bloques del Horero
Figura 15: Plano Circuital completo del Reloj digital
Figura 16: cada 60 Pulsos de 1Hz, se envía un Impulso (clock del minutero)
Figura 17: cada 60 impulsos del minutero, se envía un Impulso (clock del horero)
Figura 18: Segmento de cuenta segundero y reset
Figura 19: Segmento, ajustando horas y minutos

iv INDICE DE TABLAS
Tabla 1: Decodificador binario a display 7 segmentos ánodo común
Tabla 2: tabla de verdad de CI 7447
Tabla 3: Secuencia de Cuenta de CI 7490
Tabla 4: Tabla de verdad de CI 7490
Tabla 5: Codigo VHDL reloj digital
Tabla 6: Numero decimal mostrado en quartus2 que representa los 7 bits, del decodificador

v

Resumen
Con la utilización de contadores, decodificadores yvarios otros componentes digitales se
implementara en laboratorio un reloj que nos mostrara horas, minutos segundos, además se
implementara este mismo en una tarjeta de estudio DE2, utilizando lenguaje de descripción de
hardware VHDL.
Se visualizara el reloj en displays de 7 segmentos, contara con la funcionalidad de modificar la
hora, además que contara con un clock de 1 segundo.

vi

3 dediciembre de 2010

PROYECTO RELOJ DIGITAL

CAPITULO 1: ANTECEDENTES Y PROPÓSITOS

1.1. Introducción
En los tiempos actuales es masiva la utilización de Sistemas Digitales ya que proporcionan una serie de ventajas con respecto
a la electrónica analógica, y ha sido utilizada incluso en dispositivos pequeños como un reloj, el cual ha pasado del uso de las
manecillas para la indicación de lahora a proporcionar una visualización numérica de la misma gracias a la electrónica digital.
El reloj digital es un proyecto ampliamente conocido y realizado en cursos básicos de sistemas digitales, con este proyecto se
pretende demostrar todo lo aprendido en dicho curso.
La bibliografía que se puede encontrar sobre este tema es extensa, el añadido de este trabajo es que aparte de diseñar el...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Reloj digital
  • Reloj Digital
  • Reloj Digital
  • Reloj Digital
  • Reloj digital
  • reloj digital
  • Reloj Digital
  • Reloj Digital

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS