vhdl

Páginas: 3 (533 palabras) Publicado: 7 de mayo de 2013
EJEMPLOS PRACTICOS EN VHDL
Elaborado por: Américo Álvarez Surci
Con el fin de ayudar a la comunidad estudiantil de nivel básico, en la comprensión de la
descripción circuital mediante VHDL, sepresenta esta recopilación de ejemplos publicados en el
blog http://electronico-etn.blogspot.com
Todos estos ejemplos fueron probados en las tarjetas FPGA de la facultad de ingeniería de la
UMSA. Seincluye la descripción de un circuito mas grande como lo es un reloj digital a manera de
ejemplo.

LISTA DE EJEMPLOS
 Ejemplo 01: diseñar en VHDL, una compuerta norex
 Ejemplo 02: Diseñar enVHDL, un sistema que cumpla con F=A'B'+B'C+AC'
 Ejemplo 03: Diseñar en VHDL, un multiplexor de 4 entradas (E3,E2,E1,E0) y una salida F.
Con 2 variables de selección S1,S0
 Ejemplo 04: Diseñar enVHDL, un decodificador completo de 3 entradas (I2,I1,I0)y 8 salidas
(S7,S5,S4,...,S0)
 Ejemplo 05: Diseñar en VHDL, un decodificador completo de 3 entradas (I2,I1,I0)y 8 salidas
(S7,S5,S4,...,S0).Con señal enable/disable
 Ejemplo 06: Diseñar en VHDL, un codificador de 4:2 con prioridad
 Ejemplo 07: Diseñar en VHDL, un codificador 4:2 (como el ejemplo anterior), sin tanto código.
realizarlomás eficientemente
 Ejemplo 08: Diseñar en VHDL, un comparador de 4 bits , que a la salida te de información de
A mayor que B, A menor que B y A Igual que B.
 Ejemplo 09: Diseñar en VHDL, uncomparador de 4 bits, que a la salida te de información de
A mayor que B, A menor que B y A Igual que B. METODO 2
 Ejemplo 10: Diseñar en VHDL, un comparador de 4 bits CON SIGNO, que a la salida te deinformación de A mayor que B, A menor que B y A Igual que B
 Ejemplo 11: Diseñar en VHDL, un multiplexor de 16 a 1 con 4 variables de selección.
USANDO multiplexores de 4 a 1
 Ejemplo 12:Diseñar en VHDL, un transcodificador, del código 84-2-1 al Johnson de 5bits
 Ejemplo 13: Diseñar en VHDL, generador de bits para la coreccion de errores de un Bcd aiken
 Ejemplo 14: Diseñar en VHDL,...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS