Decodificador Vhdl

Páginas: 2 (265 palabras) Publicado: 9 de octubre de 2012
INFORMÁTICA INDUSTRIAL.
3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA
BOLETÍN VHDL.

1.

Dado un decodificador de dos entradas (DEC2:4), dar la descripción estructural, la descripción de flujo de datos y
la descripción del comportamiento en VHDL. Describir también la simulación enVHDL.
O(0)
a
b

O(1)
O(2)
O(3)

El circuito anterior responde al decodificador propuesto en el enunciado. La descripción estructural en VHDL dela entidad será:
01
02
03
04

entity DEC24 is
port ( a,b: in std_logic;
O: out std_logic_vector(3 downto 0));
end DEC24;

En primer lugarveamos la descripción estructural de la arquitectura:
01
02
03
04
05
06
07
08
09
10
11
12
13
14
15
16
17
18

architecture A of DEC24is
component AND2
port (I1,I2: in std_logic;
O: out std_logic);
end component;
component INV
port (I: in std_logic;
O: out std_logic);
endcomponent;
signal na,nb: std_logic;
begin
U1: INV port map (a,na);
U2: INV port map (b,nb);
U3: AND2 port map (na,nb,O(0));
U4: AND2 port map(na,b,O(1));
U5: AND2 port map (a,nb,O(2));
U6: AND2 port map (a,b,O(3));
end A;

Esta visión estructural se basa en la descripción de 2 componentes: lapuerta AND y el inversor. Veamos la
descripción de la entidad y arquitectura de estos componentes, que suponen otro nivel en la jerarquía de nuestrodiseño.
01
02
03
04
05
06
07
08

entity AND2 is
port (I1,I2: in std_logic;
O: out std_logic);
end AND2;
architecture A of AND2 is
begin
O
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Decodificadores
  • Decodificador
  • DECODIFICADORES
  • Decodificador
  • Decodificadores
  • Decodificador
  • decodificador

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS