Introduccion a la programacion en vhdl

Páginas: 5 (1141 palabras) Publicado: 4 de agosto de 2010
INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL

Dpto. Arquitectura de Computadores y Automática Ingeniería Informática Facultad de Informática Universidad Complutense de Madrid

Profesores: Marcos Sánchez-Élez marcos@fis.ucm.es Mª Carmen Molina

Laboratorio de Estructura de Computadores

Índice
I. Introducción............................................................................................................ 3 II. Elementos Básicos de VHDL........................................................................... 4 2.1 Identificadores............................................................................................... 4 2.2 Operadores........................................................................................................... 6 III. Estructura Básica deun Archivo fuente en VHDL...................... 8 3.1 Entidades (Entity) ....................................................................................... 8 3.2 Arquitectura (Architecture).................................................................. 9 3.3 Sentencias de descripción..................................................................... 10 3.4 Descripción Estructural......................................................................... 11 3.5 Descripción por comportamiento(behavioural) ......................... 12 3.6 Ejemplos ............................................................................................................. 13 IV. Descripción de Lógica Secuencial........................................................ 16 4.1 Ejemplos............................................................................................................. 17 V. Diseño de una Máquina de Estados .......................................................... 19 VI. Funciones, Procedimientos y Paquetes .............................................. 22 6.1 Funciones........................................................................................................... 22 6.2 Procedimiento ................................................................................................. 23 6.3 Ejemplo................................................................................................................ 23 VII. Ejemplo: Diseño de una Memoria RAM................................................. 25 Apéndice........................................................................................................................... 27

2

I. Informática (UCM)

Laboratorio de Estructura de Computadores

I. Introducción
VHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza distintos niveles de abstracción. El significadde las siglas VHDL es VHSIC (Very High Speed Integrated Circuits) Hardware DescriptionLanguage. Esto significa que VHDL permite acelerar el proceso de diseño. VHDL no es un lenguaje de programación, por ello conocer su sintaxis no implica necesariamente saber diseñar con él. VHDL es un lenguaje de descripción de hardware genérico, que permite describir circuitos síncronos y asíncronos. Para realizar esto debemos: - Pensar en puertas y biestables, no en variables ni funciones. - Evitarbucles combinacionales y relojes condicionados. - Saber qué parte del circuito es combinacional y cuál secuencial. Los circuitos descritos en VHDL pueden ser simulados utilizando herramientas de simulación para reproducir el funcionamiento del circuito. Además utilizando herramientas de síntesis se puede implementar dicho circuito en un dispositivo lógico programable o en un circuito integrado.Webs y Noticias Relacionadas con la programación en VHDL y sus herramientas de simulación y síntesis www.edacafe.com Espacio web dedicado a difundir las noticias relacionadas con el mundo del diseño de circuitos. Tiene un foro particular de VHDL (problemas, herramientas gratuitas …) www.eda.org/vasg/ “Welcome to the VHDL Analysis and Standardization Group (VASG). The purpose of this web site is to...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Programacion En Vhdl
  • Programacion En Vhdl
  • Programacion En Vhdl
  • Moografía sobre Programación en VHDL
  • INTRODUCION PROGRAMACION VHDL
  • INTRODUCCION AL LENGUAJE VHDL
  • introducción a la programacion
  • Introducción a la programación

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS