Programacion En Vhdl

Páginas: 7 (1612 palabras) Publicado: 17 de mayo de 2012
Marco teórico
Lenguaje VHDL:
Historia:

VHDL fue diseñado originariamente por el Departamento de Defensa de los Estados Unidos de Norteamérica como una forma de documentar las diversas especificaciones y el comportamiento de dispositivos ASIC de diversos fabricantes que incluían en sus equipos.
Con la posterior posibilidad de simular dichos dispositivos, comenzaron a crearse compiladores quepudieran llevar a cabo esta tarea leyendo los archivos VHDL.
El paso siguiente fue el de desarrollar software capaz de sintetizar las descripciones generadas y obtener una salida apta para su posterior implementación ya sea en ASIC como en dispositivos CPLD y FPGA.
La gran masificación de VHDL permite que un mismo diseño sea portable, pudiendo utilizarlo no sólo en varios tipos de dispositivosPLD sino además de diferentes proveedores, donde con el mismo código VHDL se puede sintetizar un diseño para optimizar uno o más parámetros críticos (área de silicio, velocidad de respuesta, consumo de energía, etc.).
Desde su implementación en el año 1981, VHDL fue estandarizado por primera vez por la IEEE en 1987 (std. 1076) y se realizó un importante actualización en 1993 (con posterioresrevisiones en 1994, 2000, 2002 y 2007).
Si bien su uso se aplica fundamentalmente para la descripción de sistemas digitales, en 1999 la IEEE aprobó el standard 1076.1 conocido como VHDL-AMS el cual incluye extensiones para entradas analógicas y mixtas.
El VHDL es un lenguaje muy amplio y fue concebido inicialmente para modelado y simulación, no para síntesis. Por tanto, no todas las descripcionesVHDL son sintetizables, esto es, no todas las descripciones tienen una equivalencia en el nivel de puertas. Por otro lado, una misma funcionalidad puede describirse de muchas maneras.
El VHDL no distingue entre mayúsculas y minúsculas. De todos modos, por claridad se recomienda mantener una misma forma de escribir. Esto es, si por ejemplo se ponen los operadores en mayúsculas, que se mantengan asíen todo el diseño.
Hay un conjunto de palabras reservadas que no se deben usar para otros propósitos (por ejemplo para nombres de señales).
Estas son:

Características y ventajas del lenguaje VHDL:
• Sirve como herramienta de diseño lógico, posibilitando la documentación de los proyectos y su reutilización.
• Sirve como herramienta de especificación de proyectos.
• Permite generarproyectos con estructura del tipo jerárquica.
• Posibilita modelizar el concepto de tiempo.
• Permite describir módulos con acciones que serán evaluadas luego en forma secuencial.
• Permite la parametrización de componentes y portabilidad de los diseños para independizarse de la tecnología.
• Permite implementación de test-bench para simulación de
de diseños.

Diagrama de flujo en el lenguajeVHDL:

El decodificador:
Un decodificador es un circuito combinacional cuya característica fundamental es que, para cada combinación de las entradas, sólo una de las salidas tiene un nivel lógico diferente a las demás. Este circuito realiza la operación inversa a la de un codificador de datos y es análoga a la de un de multiplexor, pero sin entrada de información.

1. Compuertas básicas con“if” en VHDL, Defina y describa las siguientes compuertas lógicas con la instrucción “if” en VHDL.

a) Compuerta AND:

library ieee;
use ieee.std_logic_1164.all;
entity AND2 is
port
(
a, b : in std_logic;
f : out std_logic
);
end AND2;
architecture caso of AND2 is
begin
process (a, b)
begin
if a = '1' AND b = '1' then
f <= '1' ; else
f <= '0' ;
end if;end process;
end caso;

b) Compuerta OR:
library ieee;
use ieee.std_logic_1164.all;
entity OR2 is
port
(
a, b : in std_logic;
f : out std_logic
);
end OR2;
architecture caso of OR2 is
begin
process (a, b)
begin
if a = '0' AND b = '0' then
f <= '0' ; else
f <= '1' ;
end if;
end process;
end caso;



c) Compuerta NOT:
library ieee;
use...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Programacion En Vhdl
  • Moografía sobre Programación en VHDL
  • INTRODUCION PROGRAMACION VHDL
  • Introduccion a la programacion en vhdl
  • Programacion en vhdl
  • Operaciones Basicas En Programacion Vhdl
  • Vhdl
  • Vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS