Operaciones Basicas En Programacion Vhdl

Páginas: 8 (1826 palabras) Publicado: 5 de septiembre de 2011
OPERACIONES BASICAS EN PROGRAMACION VHDL

Alumno: LUIS ALFONSO LOPEZ IBARRA
Profesor: ULISES DAVALOS GUZMAN

INTRODUCCION

Como su título lo menciona el objetivo de este proyecto es desarrollar cuatro operaciones lógicas que consta de una or exclusiva, un comparador un inversor y un complemento a uno, todos de cuatro bits y en VHDL.

Y por supuesto comenzaremos por el VHDL. Fue creadopor el departamento de defensa de los estados unidos con la finalidad de tener un lenguaje de programación más sencillo y principalmente que se pudiera utilizar fácilmente en otros proyectos sin necesidad de modificar el hardware. Mas adelante algunas empresas como IBM y TI trabajaron en el diseño del lenguaje para poder estandarizarlo mas adelante. Su significado viene de el acrónimo de V quesignifica “very high speed integrated circuit” y de HDL que significa “ hardware description lenguaje”. [1]
Entre las ventajas que podemos encontrar en el diseño de vhdl se puede encontrar que se puede trabajar con distintos tipos de señales, también se puede trabajar con varios estilos de programación como lo son los de tipo comportamental que consiste en describir paso a paso el comportamientode un sistema o de un integrado. También se puede trabajar por medio de ecuaciones booleanas esto nos permite que podamos tener un programa muy corto. También este lenguaje de programación nos permite tener una clara visión de lo que hace o hará nuestro sistema y el cual fácil mente podríamos modificar para obtener un nuevo funcionamiento o corregir alguna falla.

En lo que respecta a lo queson las operaciones de inversor, compuerta or exclusiva, el complemento y el comparador, pues las describiré mas adelante.



OBJETIVOS:
1. Documentación de todos y cada uno de las operaciones del proyecto.

2. Compilación y comprensión de la documentacion para fines de redacción.

3. Redaccion de protocolo.

4. Desarrollo de ecuaciones y código para la implementación enVHDL.

5. Implementación de código y simulación.

6. Simulación del circuito.

CRONOGRAMA:
Objetivos | semana 1 | semana 2 | semana 3 | semana 4 |
1.      Documentación de todos y cada uno de las operaciones del proyecto. |   |   |   |   |
2.Compilación y comprensión de la documentacion para fines de redacción. |   |   |   |   |
3. Redaccion de protocolo |   |   |   |   |
4.Desarrollo de ecuaciones y código para la implementación en VHDL |   |   |   |   |
5. Implementación de código y simulación |   |   |   |   |
6. Simulación del circuito. |   |   |   |   |
METODOLOGIA:

INVERSOR: pues como su nombre lo dice el inversor es lo que su nombre dice un inversor ósea que tiene obtiene una señal de entrada y la invierte, si tiene como entrada un 1 lógico, mandaracomo salida un cero lógico. Entonces para realizar el inversor de cuatro bits simple y sencillamente se colocara una ecuación por cada variable de entrada obteniendo como resultado un inversor de cuatro variables colocados en paralelo.[2]

Entradas salidas
0 | 0 | 0 | 0 | | 1 | 1 | 1 | 1 |
0 | 0 | 0 | 1 | | 1 | 1 | 1 | 0 |
0 | 0 | 1 | 0 | | 1| 1 | 0 | 1 |
0 | 0 | 1 | 1 | | 1 | 1 | 0 | 0 |
0 | 1 | 0 | 0 | | 1 | 0 | 1 | 1 |
0 | 1 | 0 | 1 | | 1 | 0 | 1 | 0 |
0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 |
0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 |
1 | 0 | 0 | 0 | | 0 | 1 | 1 | 1 |
1 | 0 | 0 | 1 | | 0 | 1 | 1 | 0 |
1 | 0 | 1 | 0 | | 0 | 1 | 0 | 1 |
1 | 0 | 1 | 1 | | 0 | 1 | 0 | 0 |
1 | 1 | 0 | 0 | | 0 | 0 | 1 | 1 |
1 | 1 | 0 | 1| | 0 | 0 | 1 | 0 |
1 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 |
1 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 |

Como puede apreciarse en la simulación, la entrada de datos se da en la variable “e” y generanlo la inversión de esta en la salida “x” .

OR EXCLUSIVA: Lo que esta compuerta realiza una suma de productos en la que las dos variables de entrada son negadas una respecto de la otra en cada término...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Programacion En Vhdl
  • Programacion En Vhdl
  • Programacion En Vhdl
  • Moografía sobre Programación en VHDL
  • INTRODUCION PROGRAMACION VHDL
  • Introduccion a la programacion en vhdl
  • Vhdl Conceptos Basicos
  • programación de operaciones

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS