VHDL

Páginas: 2 (471 palabras) Publicado: 12 de marzo de 2014

VHDL
VHDL significa VHSIC Hardware Description Language, y a su vez VHSIC significa Very High Speed Integrated Circuit. Se trata de un lenguaje de descripción de hardware, esto significa quemediante él se puede describir la forma de comportarse de un circuito electrónico.
El comportamiento puede ser llevado a algún dispositivo que dispondrá de sus propios componentes con los que lograr esecomportamiento deseado. La forma de comportarse es independiente del hardware donde se implementará.
El VHDL es un estándar llamado IEEE 1076-1993. Sus ventajas son:
• Una disponibilidad pública• Independencia de dispositivos y fabricantes
• Reutilización
• Diseño jerárquico
Un proyecto de VHDL puede contener muchos ficheros. El código VHDL usualmente se encuentra en los ficheros conextensión *.vhd. La estructura típica de uno de estos ficheros es:
− Llamadas a librerías
− Entidad
− Arquitectura(s)
Como en cualquier lenguaje de programación existen varios tipos de datos,en VHDL se pueden diferenciar dos: escalares y compuestos.
Tipos escalares
Son tipos simples que contienen algún tipo de magnitud.
Enteros: Son datos con un valor numérico entero. La forma dedefinirlos es con la palabra RANGE. Realmente se dice que un número está en un límite establecido.
TYPE byte IS RANGE 0 TO 255;
Físicos: Se trata de datos que corresponden con magnitudes físicas,que tienen un valor y unas unidades.
TYPE longitud IS RANGE 0 TO 1.0e9
UNITS
um;
mm=1000 um;
m=1000 mm;
in=25.4 mm;
END UNITS;
Reales ocoma flotante: Se definen igual que los enteros con la diferencia que los límites son números reales.
TYPE nivel IS RANGE 0.0 TO 5.0
Enumerados: Son datos que puede tomar siempre que se encuentreen una lista o conjunto finito. Es idéntico a las enumeraciones en C (enum).
TYPE color IS (ROJO, VERDE, AMARILLO);
Tipos compuestos
Son tipos de datos compuestos por los que se han visto...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS