Vhdl

Páginas: 4 (753 palabras) Publicado: 2 de diciembre de 2012
VHDL- I.

Microelectrónica. Curso 01/02

LENGUAJE VHDL
1. Introducción.................................................................................................................................................. 2
2. Elementos sintácticos en VHDL................................................................................................................... 33. Formas de descripción en VHDL. ............................................................................................................... 7
3.1. Descripción mediante flujos dedatos. ..................................................................................................... 8
3.2. Descripción comportamental algorítmica.............................................................................................. 10
3.3. Descripción estructural.......................................................................................................................... 15
4. Poniendo orden: subprogramas, paquetes y bibliotecas.......................................................................... 19
4.1. Funciones yprocedimientos................................................................................................................... 19
4.2. Bibliotecas, paquetes y unidades........................................................................................................... 21
5. Ejemplos de VHDL..................................................................................................................................... 23
5.1. Flip-flop Dsíncrono............................................................................................................................... 24
5.2. F-F tipo D con puesta a cero y a uno asíncronas.................................................................................... 24
5.3. Contadores....
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS