Codificador BCD a 7 segmentos

Páginas: 4 (809 palabras) Publicado: 22 de diciembre de 2014
UNIVERSIDAD DE GUADALAJARA
CENTRO UNIVERSITARIO DE CIENCIAS EXACTAS E
INGENIERIAS
I7269: Seminario de solución de problemas de Programación de Sistemas Reconfigurables

PROYECTO NÚMERO 2:DECODIFICADOR BCD A 7 SEGMENTOS.

NOMBRE: Lidia del Rayo Laureano Martínez.
- Ingeniería en Comunicaciones y Electrónica
CODIGO: 210429757
PROFESOR: Alicia García Arreola.
SECCIÓN: D07. UNIVERSIDAD DE GUADALAJARA
DIVISION DE ELECTRONICA Y COMPUTACION
SEMINARIO DE SOLUCIÓN DE PROBLEMAS DE PROGRAMACIÓN DE SISTEMAS
RECONFIGURABLES

PROYECTO #2: DECODIFICADOR BCD A 7 SEGMENTOS

OBJETIVO:Aplicar los conocimientos aprendidos sobre el uso de Algebra de Boole y el simulador Proteus para crear un
decodificador que nos muestre del 0 al 9, de binario a decimal.

MATERIAL:
-Computadora.
Software PROTEUS 8 profesional.

DESCRIPCIÓN:
Un decodificador o descodificador es un circuito combinacional, cuya función es inversa a la del codificador,
esto es, convierte un código binariode entrada (natural, BCD, etc.) de N bits de entrada y M líneas de salida
(N puede ser cualquier entero y M es un entero menor o igual a 2N), tales que cada línea de salida será
activada para unasola de las combinaciones posibles de entrada. Estos circuitos, normalmente, se suelen
encontrar como decodificador / demultiplexor. Esto es debido a que un demultiplexor puede comportarse
como undecodificador.
Vamos a armar en este proyecto uno de estos decodificadores, en donde tendremos en la entrada números en
el sistema binario y tendremos en la salida números con el sistema decimal através de un display de 7
segmentos, quiere decir, que veremos en el solo los números del 0 al 9.
En la electrónica se manejan varios decodificadores, para realizar este proyecto usaremos 4 entradas y7
salidas, siendo las entradas el numero mayor 9, en binario y las 7 salidas los 7 segmentos de un display.
Para plantear nuestro proyecto crearemos en primer lugar la tabla de verdad, nombrando...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • PRACTICA 2 CODIFICADOR DECIMAL BCD 7 SEGMENTOS
  • Practica De Bcd A 7 Segmentos
  • Decodificador bcd a 7 segmentos
  • Decodificador Bcd A 7 Segmentos
  • Bcd a 7 segmentos
  • Decodificador BCD-7 segmentos
  • Decodificador Bcd A 7 Segmentos
  • Decodificador De Bcd a 7 Segmentos

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS