Picoblaze intro

Páginas: 8 (1974 palabras) Publicado: 23 de enero de 2012
Introducción al Picoblaze

Por que un micro empotrado y no una FSM maquina de estados?
Micropocessor (a “Programmable FSM”) Sequential execution Slower Better for complex flow diagram Better for Large FSM Easier to make changes in algorithm “Regular” FSM Parallel execution Faster Better for simple flow diagram (but can be used for everything) More difficult to make changes in algorithm Introducción. Múltiples aplicaciones
There are literally dozens of 8-bit microcontroller architectures and instruction sets. Modern FPGAs can efficiently implement practically any 8-bit microcontroller, and available FPGA soft cores support popular instruction sets such as the PIC, 8051, AVR, 6502, 8080, and Z80 microcontrollers.

Introducción. Múltiples aplicaciones
The Xilinx PicoBlazemicrocontroller is specifically designed and optimized for the Virtex and Spartan series of FPGAs.

Introducción. Múltiples aplicaciones
The PicoBlaze solution consumes considerably less resources than comparable 8-bit microcontroller architectures.

Introducción. Múltiples aplicaciones
It is provided as a free, source-level VHDL file with royalty-free re-use within Xilinx FPGAs.

La soluciónpara procesamiento sencillo
PicoBlaze is a compact, capable, and cost-effective fully embedded 8-bit RISC microcontroller core optimized for the Spartan™-3, Virtex™-II, Virtex-II Pro™ and Virtex-4 FPGAs and CoolRunner™-II CPLDs.

La solución para procesamiento sencillo: te DA
Free PicoBlaze Macro — The PicoBlaze microcontroller is delivered as synthesizable VHDL source code. As a result, thecore is future-proof and can be migrated to future FPGA and CPLD architectures.

La solución para procesamiento sencillo
Ensamblador Facil de usar — The PicoBlaze assembler is provided as a simple DOS executable. The assembler will compile your program in less than 3 seconds and generate VHDL, Verilog and an M-file (for Xilinx System Generator) for defining the program within a block memory.Other development tools include a graphical integrated development environment (IDE), a graphical instruction set simulator (ISS) and VHDL source code and simulation models.

La solución para procesamiento sencillo
Alto rendimiento — PicoBlaze delivers 44 to 100 million instructions per second (MIPS) depending on the target FPGA family and speed grade – many times faster than commerciallyavailable microcontroller devices..

La solución para procesamiento sencillo
Tamaño Lógico Minimo — PicoBlaze occupies 192 logic cells, which represents just 5% of a Spartan-3 XC3S200 device. Because the core only consumes a small fraction of the FPGA and CPLD resources, many engineers can use multiple PicoBlaze devices for tackling larger tasks or simply keeping tasks isolated and predictable.. La solución para procesamiento sencillo
Empotrable al 100%— The PicoBlaze microcontroller core is totally embedded within the target FPGA or CPLD and requires no external resources. Its basic functionality is easily extended and enhanced by connecting additional logic to the microcontroller’s input and output ports..

Características
Es un 8-bit fully embedded microcontroller Tiene 168-bit general purpose registers, Tiene 8-bit ALU with the carry and zero flags 64-byte data memory, scratch RAM 10-bit instruction address, which supports a program up to 1024 instructions 256 input ports and 256 output ports,

Automatic 31-location CALL/RETURN stack

Características (Cont.)
Predictable performance, always two clock cycles per instruction, up to 200 MHz or 100 MIPS in aVirtex-4™ FPGA and 88 MHz or 44 MIPS in a Spartan-3 FPGA It also has facility for reset and interrupt Instruction size is 16-bits It also has facility for reset and interrupt Fast interrupt response; worst-case 5 clock cycles

Constant(k) Coded machine
KCPSM3 es en muchas formas una maquina basada en constantes Constant values are specified for use in the following aspects of a program:
Constant...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Microprocesador picoblaze
  • Intro
  • Intro
  • Intro
  • intro
  • intro
  • Intro
  • Intro

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS