vhdl

Páginas: 2 (338 palabras) Publicado: 20 de marzo de 2013

Fuentes electricas mas importantes de mexico
Nombre: Aguamilpa
Ubicación: Nayarit
Capacidad: 3 x 320 MW

Nombre: Aguaprieta
Ubicación: Jalisco
Capacidad 2 x 120 MW

Nombre: AngosturaUbicación: Chiapas
Capacidad: 5 x 180 MW

Nombre: Bacurato
Ubicación: Sinaloa
Capacidad 2 x 46 MW

Nombre: Chicoasen
Ubicación: Chiapas
Capacidad: 5 x 300 + 3 x 310 MW

Nombre: ComederoUbicación: Sinaloa
Capacidad: 2 x 50 MW

Nombre: El Cajon
Ubicación: Nayarit
Capacidad: 2 x 375 MW

Nombre: El Caracol
Ubicación: Guerrero
Capacidad: 3 x 198 MW

Nombre: Huites
Ubicación:Sinaloa
Capacidad 2 x 220 MW

Nombre: Ixtapantongo
Ubicación: DF
Cpacidad: 2 x 28 + 1 x 52 MW

Nombre: Malpaso
Ubicación: Chiapas
Capacidad: 6 x 180 MW

Nombre: San Rafael
Ubicación: NayaritCapacidad: 2 x 12 MW

Nombre: Zimapan
Ubicación: Hidalgo
Capacidad: 2 x 146 MW

por cierto mw significa vatio es la unidad de potencia del Sistema Internacional de Unidades Su símbolo es W es elekivalente a 1 julio por segundo (1 J/s) y es una de las unidades derivadas. Expresado en unidades utilizadas en electricidad, un vatio es la potencia eléctrica producida por una diferencia depotencial de 1 voltio y una corriente eléctrica de 1 amperio (1 voltiamperio)La potencia eléctrica de los aparatos eléctrikos se expresa en vatios, si son de poca potencia, pero si son de mediana o granpotencia se expresa en kilovatios (kW) que equivale a 1000 vatios. Un kW equivale a 1,35984 caballos de vapor
Implementaciones de circuitos en VHDL
En un diseño en VHDL tenemos dos partes principales:la entidad es como una caja negra en la que se definen entradas y salidas pero no se tiene acceso al interior, y es lo que usa cuanto se reutiliza un diseño dentro de otro; la arquitectura, que esdonde se describe el diseño de la forma que se ha visto antes. Otros elementos del lenguaje son las librerías, paquetes, funciones...
Multiplexor
Este ejemplo simula un multiplexor de dos entradas. Es...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS