Vhdl

Páginas: 10 (2260 palabras) Publicado: 3 de enero de 2012
Electrónica Digital

23 LENGUAJE DE DESCRIPCIÓN CIRCUITAL: V H D L
23.1. VHDL como lenguaje para describir, simular, validar y diseñar 23.2. VHDL básico para diseñar circuitos combinacionales 23.3. Descripción de circuitos secuenciales y de sistemas síncronos 23.4. Descripción de grafos de estado 23.5. Otros recursos de VHDL A la hora de describir un diseño microelectrónico (es decir, derealizar la descripción de un circuito digital, en formato informático, para ser, posteriormente, «compilado» sobre un dispositivo programable o sobre una librería de celdas de un ASIC), tal descripción puede hacerse en forma gráfica (esquema circuital) o en forma de texto (programa). El "Versatile Hardware Description Language" VHDL (cuyas siglas proceden de un nombre aún más largo y restrictivo: Veryhigh speed integrated circuit HDL) se desarolló inicialmente como lenguaje de documentación, de simulación y de «validación» (simulación en el contexto en que debe funcionar) de circuitos integrados digitales. Para documentar y simular se requiere una descripción precisa, carente de ambigüedades y estructurada y tal descripción puede ser directamente utilizada para diseñar el circuito descrito(mediante su «compilación» sobre los recursos booleanos disponibles). Las dos páginas que siguen (apartado 1 de este capítulo) amplían la breve presentación que del lenguaje VHDL se hace en este párrafo y deben ser leídas como parte de esta introducción. Habida cuenta de que un circuito integrado no tiene «finalidad propia», sino que forma parte de un sistema más amplio, cuyo funcionamiento controlao supervisa, uno de los propósitos de VHDL era simular el circuito en el contexto del sistema de que forma parte; de manera que no se limita a describir sistemas digitales sino que abarca, también, cualquier otro tipo de sistema activo (eléctrico, mecánico,...). Es obvio que un tratado sobre VHDL requeriría todas las páginas de este volumen y muchas más. Por ello, el contenido de este capítulo serestringe a la parte de VHDL que se utiliza habitualmente en el diseño digital. Su objetivo es enseñar las bases de la descripción de circuitos digitales en VHDL a quienes desconozcan por completo este lenguaje. Quizás la mejor forma de aprender un lenguaje sea utilizarlo y practicarlo. Por eso este capítulo, que es simplemente una presentación parcial y utilitaria de VHDL, está construido,fundamentalmente, con ejemplos de diseño; se apoya en múltiples descripciones y diseños de subcircuitos y de pequeños sistemas digitales y prescinde, en gran medida, de lo que pudiera ser una exposición académica o descriptiva del propio lenguaje. La misma organización del capítulo está dirigida directamente al diseño digital y, así, los diversos epígrafes de introducción del lenguaje se refieren,sucesivamente, a la descripción de sistemas combinacionales (apartado 2), de sistemas secuenciales y síncronos (apartado 3) y de grafos de estado (apartado 4) y a otros recursos avanzados de diseño digital, aplicados también a ejemplos concretos (apartado 5).
Tomás Pollán Santamaría. Tecnología Electrónica. E.U.I.T.I.Z. Universidad de Zaragoza. tpollan@posta.unizar.es

23.1. VHDL como lenguaje paradescribir, simular, validar y diseñar En un principio, la «captura de esquemas» fue la forma habitual de diseño CAD (apoyado y almacenado en un computador). Pero, hoy día, ha sido sustituida (casi por completo) por su descripción funcional en texto (programa que detalla el funcionamiento de las diversas partes del circuito y la conexión entre ellas), utilizando para ello un lenguaje dedescripción de hardware (HDL). La forma textual presenta numerosas ventajas: suele requerir menor tiempo y esfuerzo para comprender lo que el circuito hace (en el caso de sistemas complejos); es independiente de la implementación a bajo nivel (en puertas, bloques, biestables y registros); es directamente trasladable a los diversos dispositivos programables y a las diversas librerías de ASICs;... y, sobre...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS