Vhdl

Páginas: 3 (547 palabras) Publicado: 29 de junio de 2012
Universidad Tecnológica Nacional  Facultad Regional Haedo Asignatura: Técnicas Digitales I

Modos de descripción En VHDL (y en otros lenguajes de descripción de hardware, tal como Verilog) loscircuitos pueden describirse de diferentes maneras, poniendo de manifiesto distintos aspectos del circuito descripto. Modo estructural (Structural Mode) En este modo describimos los circuitos digitalescomo formados por componentes pre-diseñados (subcircuitos) más simples. Tomemos, por ejemplo, el caso de un multiplexor de dos vias, una entrada y una entrada de selección. Sabemos que puededescribirse por su ecuación característica como: salida= sel’ s0 + sel s1 implementado con componentes más simples (compuertas), el esquema estructural del multiplexor de dos vías se indica en la Figura 2.Figura 1 – Símbolo esquemático de un multiplexor de dos vías

Figura 2 – Descripción estructural de un multiplexor de dos vías a partir de compuertas

En base a lo anterior, desarrollaremos unadescripción estructural del multiplexor de dos vías en lenguaje VHDL. --Código VHDL que describe un multiplexor de dos vias de forma estructural --Declaración de librerías library ieee; useieee.std_logic_1164.all; use ieee.numeric_std.all; --Declaración de la entidad

Universidad Tecnológica Nacional  Facultad Regional Haedo Asignatura: Técnicas Digitales I

entity mux2 is port ( --Entradas s :in std_logic_vector (1 downto 0); --Entrada de selección sel: in std_logic; --Salida salida : out std_logic ); end entity; --Declaración de la arquitectura architecture arq_mux2 of mux2 is beginsalida s(0),s(1)=>s(1),sel=>wire_sel,salida=>wire_s0); mux2_1: mux2 port map (s(0)=>s(2),s(1)=>s(3),sel=>wire_sel,salida=>wire_s1); mux2_2: mux2 port map(s(0)=>wire_s0,s(1)=>wire_s1,sel=>sel(1),salida=>salida); end arq_mux4; Modo conductual (Behavioral Mode) En este modo no describimos el componente en base a subcomponentes (como en el modo estructural), sino que lo describimos en base a su...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS