Alu(Utp)

Páginas: 2 (466 palabras) Publicado: 18 de diciembre de 2012
UNIDAD ARITMÉTICA - LÓGICA DEFINICIÓN Área de la computadora en la cual se realizan operaciones aritméticas y lógicas con datos. Estas operaciones siempre incluyen la adición y la sustracción, y lasoperaciones lógicas AND, OR, EX - OR. SIMBOLO

Operando A

Operando B

Registro de Estado

ALU

Selección de Operación

Resultado
CARACTERÍSTICAS 1. El tipo de operación es determinadopor señales dadas desde la Unidad de Control. CONJUNTO DE REGISTROS UNIDAD DE CONTROL

UNIDAD ARITMÉTICA LOGICA

2. 3.

Normalmente la operación es diádica, es decir , se efectúa sobre dosoperandos, produciendo un resultado que será función de la operación que se ha seleccionado. Los datos que serán utilizados por la ALU pueden provenir de la unidad de Memoria o de la Unidad de Entrada.
CPUUnidad de control

ALU
Registros

Dispositivos de E / S

RAM

Disco duro

Impresora Buses

4. 5.
1

Los resultados de operaciones realizadas en la ALU pueden transferirse a la unidadde Memoria para ser almacenados o a la unidad de salida. La ALU contiene dos registros auxiliares: El Acumulador 1 y el Registro de Estado2.

Este registro “acumula” las sumas que ocurren cuando seefectúan adiciones sucesivas entre los nuevos números tomados de la Memoria y la suma acumulada anteriormente. De hecho, para cualquier problema aritmético que contenga varios pasos a seguir, elacumulador siempre contiene los resultados de los pasos intermedios conforme se obtienen, así como el resultado final cuando el problema termina. 2 Registro que contiene una serie de bits que se ponen a 1cuando al efectuar una operación, se produce una circunstancia especial. A los bits de este registro se les llama señalizadores o flags.

Ejemplo: Diseñar una ALU que trabaja con operandos de unsolo bit y que es capaz de realizar las operaciones AND, OR, XOR y NOT. Dibujar la tabla de verdad correspondiente al esquema lógico de la ALU para mostrar el comportamiento del mismo.

A 0 0 1 1 0 0...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Aluar
  • Esto Es La Alu
  • alu simulador
  • 74LS181-ALU-
  • Alu en vhdl
  • Alu-74181
  • IEEE
  • ALU en VHDL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS