Vhdl: lenguaje internacional

Páginas: 6 (1488 palabras) Publicado: 26 de mayo de 2011
VHDL: EL LENGUAJE INTERNACIONAL.
En la actualidad nos presentamos con un fenómeno en el cual cada día los aparatos que, cotidianamente utilizamos, han evolucionado lo que implica el cambio gradual en el tamaño del mismo. Pensando en la época en que las computadoras se manejaban a través de bulbos, y que en ese momento no se pensaba que pudiera existir lo que hoy llamamos laptop, o que tuviéramosla facilidad de tener todas las funciones de una computadora al alcance de un teléfono celular. Con la evolución de la tecnología cada vez la electrónica era más compleja, lo que implicaba un incremento de tamaño y dispositivos, por lo que se vio la necesidad de crear un dispositivo que involucrara contener muchos dispositivos en un solo circuito integrado, dando origen a hardware tales como(HDL, IDL, TI-HDL, entre otros), con el paso del tiempo y con la necesidad de estandarizar la comunicación y documentación. Se creo un lenguaje para modelados digitales, lo que se conoce como VHDL.
“El VHDL es un lenguaje que fue diseñado inicialmente para ser usado en el modelado de sistemas digitales. Es por esta razón que su utilización en síntesis no es inmediata, aunque lo cierto es que lasofisticación de las actuales herramientas de síntesis es tal que permiten implementar diseños especificados en un alto nivel de abstracción.”
(Pardo, F (1997) pág., 22)

Esto nos indica que nos hará mas fácil la programación, dando una mejor comunicación entre la maquina y el hombre, ya que es mucho mas sencillo comprender un programa o un circuito a través de simples compuertas, a tener unlenguaje que para algunos es muy difícil o requiere de bastante practica. Por lo que nos hace la VHDL un dispositivo flexible a considerar.

“Las siglas VHDL corresponden a VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. El primer borrador vio la luz en agosto de 1985 diseñado por Intermetrics, IBM y Texas Instruments e impulsado por el Departamento de Defensa de losEstados Unidos. En diciembre de 1987 fue aprobado como estándar del IEEE y posteriormente, en 1993, fue revisado y registrado como norma IEEE Std 1076-1993.”
(Rico ,P (2000) pág. 1 )

Cabe resaltar algunas características de un VHDL que mención brevemente a continuación.
Este tipo de hardware permite modelar y comprobar un sistema de alto nivel y bajarlo hasta un nivel de compuertas, lo que nosfacilita más su programación, además proporcionan flexibilidad ya que no cuentan con una patente lo que implica que cualquier empresa puede usarlo el tiempo y darle el uso que ella prefiera. También tiene la peculiaridad de poder ser programado con distintos software, no como algunos otros dispositivos que tienen que ser programados con uno especifico, dando también pauta para diferentes simuladores.“…Disponibilidad publica. VHDL es un estándar no sometido a patente o marca registrada alguna, por lo que cualquier empresa o institución puede utilizarla sin restricciones. Además, dado que el IEEE lo mantiene y documenta, existe la garantía de estabilidad y soporte.”
(Maxinez, D (2002) pág. 26)

Es versátil ya que es compatible con otras tecnologías aunque la funcionalidad no sea la misma,lo que nos ayuda a cumplir con muchas necesidades ya sea de implementación, innovación, diseño o modificación. Esto nos ayuda bastante ya que en el área industrial los cambios e innovaciones a la orden del dia y cabe resaltar que existen dispositivos que nos ayudan con cierta compatibilidad con lo ya instalado.

“…Independencia tecnológica de diseño. VHDL se diseño para soportar diversastecnologías de diseño (PLD, FPGA, ASIC, etc.) con distinta funcionalidad (circuitos combinacionales, secunciales, síncronos y asíncronos), a fin de satisfacer las distintas necesidades de diseño.”
(Maxinez, D (2002) pág. 26)

La facilidad de lenguaje, que tan importante o versátil es que podamos hacer un reporte de la clase de ingles y las palabras que no conocemos las podamos poner en español....
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Lenguaje Vhdl
  • lenguaje vhdl
  • INTRODUCCION AL LENGUAJE VHDL
  • Lenguaje Estructural Vhdl
  • Tutorial Lenguaje Vhdl ( Doc Que Encontre )
  • Lenguaje Vhdl
  • Lenguaje En Los Negocios Internacionales
  • Introducción a los lenguajes VHDL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS