Vhdl

Páginas: 2 (320 palabras) Publicado: 19 de mayo de 2010
VHDL: MODELADO Y SINTESIS DE CIRCUITOS DIGITALES

I INTRODUCCION
El presente texto tiene por objeto presentar los principios del diseño de sistemas digitales de baja y mediana complejidad pormedio del lenguaje de diseño VHDL. Está dirigido a estudiantes y profesionistas de Ingeniería Eléctrica, Electrónica y de Computación, que cuenten con conocimientos básicos de circuitos lógicos, y deprogramación con algún lenguaje de alto nivel (Java, C, Fortran, etc.).

Se pretende que el material permita al estudiante comprender y utilizar el lenguaje como una herramienta, de una manerarápida y eficiente. A diferencia de otros textos sobre el mismo tema, no se presenta una descripción completa del lenguaje, pero se enfatiza la relación entre el código y el circuito sintetizado. Además,se presenta con claridad, desde un principio, la naturaleza concurrente de las instrucciones de VHDL, que la distingue de otros lenguajes de programación.

La letra V de VHDL significa en inglés“Very High Speed Integrated Circuit”; HDL significa “Hardware Design Language”. El lenguaje se diseñó justamente para simplificar el diseño de los complejos circuitos que emplean las computadoras, lossistemas de comunicación, los aparatos domésticos inteligentes, etc. Pero puede utilizarse también como un vehículo de estudio de los circuitos lógicos, pues permite modelar y simular el comportamientode cualquier sistema digital. Es claro así que VHDL se convierte en una herramienta poderosa para apoyar cursos de diseño lógico y arquitectura de computadoras; permite implementar circuitos en undispositivo programable en un corto período de tiempo, sin tener que alambrar múltiples circuitos integrados en una tablilla.

Los ejemplos presentados se han programado utilizando el software QuartusII V7.2 de Altera; sus correspondientes circuitos se han implementado en una tablilla DE2 del mismo fabricante, que incluye un FPGA Cyclone

II. ASPECTOS GENERALES
Antes de proseguir,...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS