Convertidor vcd binario (vhdl)

Páginas: 2 (275 palabras) Publicado: 10 de marzo de 2012
Introducción
En sistemas de computación, Binary-Coded Decimal (BCD) o Decimal codificado en binario es un estándar para representar números decimales en el sistema binario, en dondecada dígito decimal es codificado con una secuencia de 4 bits. Con esta codificación especial de los dígitos decimales en el sistema binario, se pueden realizar operaciones aritméticascomo suma, resta, multiplicación y división de números en representación decimal, sin perder en los cálculos la precisión ni tener las inexactitudes en que normalmente se incurre con lasconversiones de decimal a binario puro y de binario puro a decimal. La conversión de los números decimales a BCD y viceversa es muy sencilla, pero los cálculos en BCD se llevan más tiempoy son algo más complicados que con números binarios puros.
Cada dígito decimal tiene una representación binaria codificada con 4 bits:
Decimal: 0 1 2 34 5 6 7 8 9
BCD: 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001
Los números decimales, se codifican en BCD con los de bits querepresentan sus dígitos.
Por ejemplo, la codificación en BCD del número decimal 59237 es:
Decimal: 5 9 2 3 7
BCD: 0101 1001 0010 0011 0111
La representación anterior(en BCD) es diferente de la representación del mismo número decimal en binario puro:
11100111 01100101

Código VHDL
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
useIEEE.STD_LOGIC_UNSIGNED.ALL;
entity convBCDBinario is
Port ( compin : in STD_LOGIC_VECTOR (7 downto 0);
compout : out STD_LOGIC_VECTOR (6 downto 0));
endconvBCDBinario;
architecture Behavioral of convBCDBinario is
begin
process (compin)
begin
compout <= ((compin(7 downto 4))*"1010") + compin(3 downto 0);
end process;
end Behavioral;
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Convertidores de codigo binario
  • Convertidor binario a grey
  • convertidor a binario
  • CONVERTIDOR DE BINARIO A COMPLEMENTO A 2 de 4 bits
  • Vhdl
  • Vhdl
  • Vhdl
  • VHDL

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS