Vhdl

Páginas: 5 (1058 palabras) Publicado: 4 de junio de 2012
Arquitectura de computadoras

Alumno: Mario Abraham Neira Padilla

Codigo: 200821144

Laboratorio: 4

URP-2012

Índice
Introducción y visión del proyecto……………………………………..……..3
Bloque UNIPROC1………..……………………………………….......................4
Sub unidad contadora………………………………………………………..……..4
Sub unidad comparadora………………………………………….….….………..5
Sub unidad S D C……………………………………….….………………….………..7Bloque UNICONT1……………………………………………………….….…………8
Bloque divisor de frecuencias…………………………………..………………12
Controlador LCD…..…………………………………………………………..……..13
Preguntas adicionales……………………………………………………..……….15
Conclusiones………………………………..…………………………….……………17
Dificultades………………………………..…………………………….…..…………17
Bibliografía………………………………………………………………………………17

Introduccion
El objetivo de este laboratorio esmejorar nuestras habilidades en el uso de la pantalla LCD de la tarjeta TDA DE2, programaremos la tarjeta para poder escribir y a la vez borrar los caracteres que nosotros escribamos, poder obtener una maquina de estado finito apartir de diagramas de tiempo.
The objective of this lab is to improve our skills in the use
of LCD AD DE2 board,will program the card to write and deletecharacters while we write, to obtain afinite state machine diagrams apartir time .

Vision del proyecto
Con este proyecto nosotros seremos capaces de poder crear caracteres y escribirlos en la nuestra pantalla LCD, lo mas importante del laboratorio es aprender a inicializar el LCD y crear el circuito para implementarlo en la tarjeta.

Sub unidades que conforman la unidad de proceso UNIPROC1.

Bloque del contadorEsta unadad genera la secuencia de inicializacion 38-0E-06-01.

VHDL del contador

Secuencia de inicialización

Bloque del comparador
Esta unidad permite reconocer cuando se ha terminado de generar la secuencia de inicialización.

VHDL del comparador

Simulación del comparador
En esta simulación se puede observar que colocamos dos números el primero es “111110” y el siguiente es“000001”, en el primero como no es el que necesitamos la salida Z=0 pero el siguiente como es el ultimo digito de la secuencia de inicialización Z=1.

Bloque S D C
Esta sub unidad permite seleccionar entre los datos D[8..1] del usuario a escribirse en el modulo lcd y los comandos S[5..0] que genera conta. Está formado por dos circuitos integrados 74244 (dispositivos tres estados) cada uno activadopor diferente señal, IN y OUT activadas en bajo.

Bloque UNIPROC1
En este bloque general ya están unidas todas las sub unidades

Unicont1

VHDL del unicont

Simulación de unicont1

Bloque divisor de frecuencias

VHDL del divisor de frecuencia

Simulación divisor de frecuencia
En esta simulación se observa la frecuencia que utilizaremos para nuestro controlador LCD.Controlador LCD
Uniendo los bloques uniproc1, unicont1 y el divisor de frecuencia nos sale en controlador LCD.

Bloque del controlador LCD

Simulación del controlador LCD
En esta simulación se puede observar que cuando le ponemos en alto WLCD (escribimos) el dato de entrada d que es 48 hexadecimal se escribe en la salida db eso quiere decir se ve en la pantalla LCD. Cuando ponemos en alto CLDC pasaal estado 12 sigue al 13,14 pasa al 6 en el CLDC está en 0 así que pasa al estado 11 y ahí se queda preguntando que va a hacer por eso se observa abajo que B hexadecimal queda hasta el final de la simulación sino se activa CLCD o WLCD.

Preguntas adicionales
a) ¿Qué tipos de visualizador LCD gráfico hay?

Matriz Pasiva
Un monitor de matriz pasiva o FSTN se compone de una regilla decables de control electrónicos o líneas situadas sobre el cristal frontal y posterior. El píxel se sitúa en la intersección de cada fila y columna de las líneas de control. Los monitores de matriz pasiva utilizan un transistor para cada fila y otro para cada columna de píxeles. Los píxeles actúan cuando las filas y las columnas se activan y dejan de actuar cuando ambas se desactivan. Este...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS