Vhdl

Páginas: 4 (891 palabras) Publicado: 9 de diciembre de 2010
Electrónica Industrial

Diseño de Sistemas Secuenciales con VHDL

Diseño de Sistemas Secuenciales con VHDL
Andres.Iborra@upct.es Juan.Suardiaz@upct.es Enero 2006

TEMARIO

⋅1⋅ Electrónica Industrial

Diseño de Sistemas Secuenciales con VHDL

⋅2⋅

Electrónica Industrial

Diseño de Sistemas Secuenciales con VHDL

7.1 INTRODUCCIÓN
En la unidad anterior se han modeladosistemas combinacionales; es decir, aquellos sistemas cuyas salidas en un instante determinado sólo dependen de los valores que se encuentran presentes en sus entradas en ese momento. Si bien un sistemasecuencial puede tener también uno o más elementos combinacionales, la mayoría de los sistemas digitales que se encuentran en la práctica incluyen elementos de memoria, los cuales requieren que el sistemase describa en términos de lógica secuencial. Entradas CIRCUITO COMBINACIONAL Salidas

ELEMENTO DE MEMORIA

Un sistema secuencial está formado por un circuito combinacional y un elemento dememoria encargado de almacenar de forma temporal la historia del sistema. En esencia, la salida de un sistema secuencial no sólo depende del valor presente en las entradas en un instante determinado, sinotambién de la historia del sistema (se dice que los secuenciales son circuitos con memoria, mientras que los combinacionales no tienen memoria). Básicamente hay dos tipos de sistemas secuenciales: sSíncronos: su comportamiento se encuentra sincronizado mediante el pulso de reloj del sistema (CLK). s Asíncrono: Su funcionamiento depende del orden y momento en el que se aplican las señales deentrada. En esta unidad se diseñarán los circuitos secuenciales más utilizados en el diseño lógico a través del lenguaje de descripción de hardware VHDL.

⋅3⋅

Electrónica Industrial

Diseño deSistemas Secuenciales con VHDL

7.2 DISEÑO BASADO EN ELEMENTOS MSI
Al igual que en la unidad anterior, se desarrollarán este tipo de sistemas mediante el uso del pensamiento estructurado: un...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS