Vhdl

Páginas: 3 (595 palabras) Publicado: 13 de diciembre de 2010
Tutorial básico de VHDL
Realizado por Claudio Alarcón Reyes
Universidad de Chile
Departamento de Ingeniería Eléctrica.
clalarco@ing.uchile.cl

El presente documento pretende ser una guía básicapara el uso de VHDL. Se indicarán detalles sobre el lenguaje mediante ejemplos, tanto en sintaxis como uso de las diversas herramientas que posee VHDL.

El objetivo de este tutorial es que seaposible diseñar códigos de manera rápida utilizando lo esencial del lenguaje. No se dará énfasis al uso de Testbenches asociados a simulación debido a que las herramientas más ampliamente utilizadas(Max+Plus y Xilinx ISE) permiten realizar en forma gráfica y mucho más simple este proceso.

Se irá explicado mediante ejemplos los conceptos mínimos de programación. Se debe revisar los comentarios alos códigos pues poseen información útil a la hora de revisar sintaxis.

Se asume que el lector posee conocimientos sobre lógica combinacional y secuencial, y trabaja ya sea con la plataforma Altera oXilinx, o pretende usarlas.

Qué es VHDL?

Son las siglas de ‘VHSIC Hardware Design Language’, y VHSIC es acrónimo de ‘Very High Speed Integrated Circuits’. Desde aquí se deduce que es unlenguaje para la creación de arquitecturas de circuitos integrados. Se ha desarrollado de manera satisfactoria la rama digital de diseño, no así la analógica (las siglas no dicen nada sobre si es diseñoanalógico o digital).

Otro lenguaje conocido para esta labor es Verilog HDL: la principal diferencia con el anterior es que Verilog HDL está basado en la estructura de C, mientras que VHDL lo hace conPascal. Sin embargo, la lectura de VHDL es más simple debido a su acercamiento al lenguaje inglés.

La gran ventaja de este lenguaje es la abstracción de la realización física del circuito integradoque se desea diseñar; esto quiere decir que lo que se debe considerar es el comportamiento final del circuito. Por ejemplo, para realizar un circuito secuencial no se requiere saber cuál será la...
Leer documento completo

Regístrate para leer el documento completo.

Estos documentos también te pueden resultar útiles

  • Vhdl
  • Vhdl
  • VHDL
  • vhdl
  • Vhdl
  • vhdl
  • vhdl
  • vhdl

Conviértase en miembro formal de Buenas Tareas

INSCRÍBETE - ES GRATIS